Next-generation lithography

In next-generation lithography ( NGL, dt " lithography process of the next generation " ) are summarized in the semiconductor technology structuring processes that are candidates for the succession of the conventional photolithography on the basis of ultraviolet radiation in the industrial production of microelectronic circuits are. The methods may be roughly classified into three groups:

Background

Currently (2012 ) used in the semiconductor technology structure principle, the photolithography is based on the transmission of a structural pattern of a photomask in a photo-patternable, i.e. light-sensitive thin layer of photoresist (resist) of a wafer. The result is a patterned photoresist layer on the wafer, which is used as a masking layer for subsequent processes, such as etching of an underlying material, or ion implantation. Since the initial phase of microelectronics has changed relatively little over this fundamental principle, even if the trend added higher integration densities and thus smaller components constant development made ​​it necessary. Important parameters for improving the resolution are firstly, the wavelength of the light used, on the other hand, the numerical aperture of the photolithography system.

The wavelength of the light used is limited by diffraction effects occurring the maximum resolution of an image in the resist when the desired feature sizes in the range of the wavelength of the light used and less. In order to produce smaller structures, therefore always shorter wavelength was used, so that one of the first used for visible light (g- line of a mercury vapor lamp) arrived today at wavelengths in the ultraviolet spectral range ( ArF excimer laser ). Other enhancements include improvements in plant as well as the introduction of various special techniques such as immersion lithography, oblique illumination or multiple structuring. This development meant that today (2012 ) Products in the so-called 22 - nm technology using immersion lithography and ArF excimer lasers (wavelength: 193 nm) can be produced.

This development was considered technically and physically impossible a few years ago, was therefore already begun in the 1990s with the search for alternative methods, the next-generation lithography.

EUV lithography

As a logical continuation of the optical lithography to shorter wavelengths and hence smaller structures shall EUV lithography (german extreme ultra violet ) to use 2016 wavelengths around 13.5 nm by the year to structures between 22 nm and 16 nm and to generate smaller. The system having to be operated entirely in a high vacuum, and the radiation can be directed not by lenses but by the mirror. At 13 nm, there is no more transparent materials, and gases of any kind would strongly absorb the radiation. However, suitable for the production of high-power radiation source is not yet available. Since the wavelength used, as is common in the UV lithography, can not be emitted by a laser, several companies are currently engaged in the development of EUV plasma sources.

X-ray lithography

With the use of X-rays from sources with the necessary convergence (eg synchrotron radiation ) theoretically smaller structures can be produced, and the method has a much greater depth of field. However, the masking technique proves to be very expensive, so that to date, no large-scale application of this process is in sight. It is closely related to the EUV lithography. Considerable research activities have been carried out in the late 1980s and early 1990s, for example, on the first Berlin electron synchrotron BESSY by the Fraunhofer Institute for Silicon Technology. The synchrotron used therein had a maximum emission at a wavelength of about 7 nm lithography is used in the context of X-ray of the LIGA process for producing three-dimensional structures with high aspect ratio ( ratio of height to lateral dimension ). These structures find applications in microsystems technology. Significant work on this deep X-ray lithography are performed at the Forschungszentrum Karlsruhe with existing there ANKA synchrotron radiation source and the synchrotron radiation laboratory.

Electron and ion beam lithography

With Teilchenstrahllithografien ( electron and ion beam lithography ) can better master the technical difficulties of high-resolution lithography. The reason for this lies in the diffraction behavior of such particle beams. It is approximately half the wavelength of the radiation used for exposure, it is seen that the resolution of particle is considerably increased. Because the de Broglie wavelength of electrons with an energy of several kilo-electron volts is only a few picometers (compared to nanometers in " photonic method ").

Unlike the technique of lithography, use of the photons in these methods, the energy is " photoresist " is transmitted to the means of electrons or ions. Instead of an optical lens system, for example, electron beam writer can be used. They correspond to the operating principle modified scanning electron microscopes. Such systems with direct-write techniques are used for some time for the production of photomasks for optical lithography - these are but four or five times larger than the later ones, pictured with conventional methods, structures on the wafer in the rule. The low throughput of this direct writing method, however, prevents the use of such devices in the mass production of semiconductor devices.

The high cost of high-resolution photomasks, however, lead to increased attempts to introduce the e-beam lithography in chip production, at least for experimental productions of the latest technologies and the most critical (and particularly expensive) manufacturing steps in normal production. For this reason, alternative concepts such as multi- beam writer is working (up to several hundred electron parallel) or by the conventional photolithography comparable techniques such as flood or projection exposure using ion beams. With them higher wafer throughput would be possible. But here is currently due to the elaborate mask art, no large-scale application in sight.

Nano -imprint lithography ( NIL)

This method is an alternative to classical EUV lithography. Instead of exposure here comes an embossing method used. The resolution limits of the nano-imprint process are currently at 5 nm, the manufacturer Molecular Imprints already offers some smaller plants for the 20 -nm process, with an output of up to 180 layers per hour. Thus, the method is theoretically suitable for the next generation of computer chips - 32 - and 22 - nm technology, which have been announced for 2012. Whether the method is, however, applied in the (mass) production, is not yet in sight.

601014
de