Photolithography

  • Use and significance of the process in the manufacture of microelectronic circuits
  • Influence of various process parameters, such as exposure dose, focus, layer thickness
  • Important inspection parameters
  • Typical photoresists and short information on the chemical reaction during the exposure (eg Novolaks )
  • Schematic layout of a typical exposure system

* Development of different exposure systems (overview), used eg light sources

  • Advanced techniques for imaging structures below the wavelength used Three-beam imaging, two-beam imaging, oblique illumination

The Photolithography (or photolithography ) is a process used in the semiconductor and microsystems technology for the production of integrated circuits and other products. In this case, the image of a photomask is transferred onto a photosensitive resist by means of the exposure. Subsequently, the exposed areas of the photoresist are dissolved (alternatively, the dissolution of the unexposed areas is possible, if the photoresist is cured under light). Thus, a lithographic mask, which makes further processing by chemical and physical processes, such as the introduction of material into the open window or the etching of pits under the open windows.

  • 5.1 contact exposure
  • 5.2 proximity printing
  • 5.3 Projection exposure
  • 6.1 Immersion Lithography
  • 6.2 Grautonlithografie
  • 6.3 Laser Lithography / Maskless direct exposure

Process Description

Basic principle

The basic principle of photolithography is the structuring of a thin sacrificial layer deposited over the entire surface of photoresist by a local change in the chemical properties of the photoresist and its removal in the modified ( negative resist ) or unchanged ( positive resist ) areas.

In the first step of the liquid photoresist by spin coating (English spin-coating ) or other suitable methods to applied to a substrate (wafer). Subsequently, the lacquer layer is treated with an annealing step at about 110 ° C (pre- bake or soft bake ), while the solvent and a majority of the water present, whereby the photoresist is stabilized desorbed. However, for the chemical reactions during the subsequent exposure, it is important that not all of the water is desorbed and a certain amount of residual water remains in the layer.

  • Examples of plants with low throughput ( research area, etc. )

Mask aligner (left: MA -6) and mask aligner ( right: MJB3 )

Two mask aligner (left: ECG -620, right: MA -150)

Before the exposure of the photoresist, the wafer is initially aligned to the optical system of the exposure apparatus. These are generally used specific markers from previous process steps (direct alignment ) or plant-specific fixed points (indirect orientation). The exposure itself can be carried out in very different ways. For this different imaging techniques (see below ) are available, which differ not only in the wavelength of the used monochromatic light, but also in the position of the mask, the sequence of exposure ( flood exposure, stepper, scanner, ...), the polarization used the bright, etc. the chemical properties of the photoresist are changed in the exposed areas by means of a photochemical reaction, - depending on the type of photoresist, the resist is either soluble or insoluble to the developer solution used. A new annealing ( engl. post exposure bake ) is used for diffusion of the ( photo ) chemical components ( smoothing of the resist pattern profile ) and if necessary to enhance the photochemical reaction with photo chemically amplified photoresists (English Chemically amplified resist, CAR).

In the third sub-step development of the photoresist takes place, that is, the soluble areas of the layer by using a developer solution (e.g. a weakly basic solution of TMAH ) wet-chemically dissolved and removed by spraying, dipping or dropping. The wafer is then rinsed with deionized water and spun dry. Then again carried out by an annealing step (English hard bake, about 100 to 180 ° C), in which the now patterned photoresist is dried and optionally further stabilized by a crosslinking reaction. This is necessary, because the layer in the subsequent process steps ( dry etching, ion implantation ) is highly stressed.

Finally, the entire process is checked. This can be in addition to an optical inspection defect detection ( trapped particles undeveloped areas, etc. ) and the measurement of the orientation with respect to the preceding process steps (overlay measurement, visually as well as by scanning electron microscope) or the line width ( CD measurement, scanning electron microscope) include. If this too many errors have been detected, the photoresist layer is removed prematurely and the entire procedure repeated again ( rework, Eng. Rework).

The thus patterned photoresist layers are typically used as a tool for further processes, such as the etching of underlying layers, the introduction of impurities (see (doping and ion implantation), or selective deposition of materials (see, LIGA and lift-off ). Photoresist layer serves as a masking layer to protect certain areas from the subsequent process step. According to this structure transfer the photoresist mask is removed completely. purpose usually plasma asher (oxygen plasma ) can be used. Alternatively, the photoresist layer may also be dissolved by wet chemical. which distance method is used, is dependent on the paint and the entire manufacturing process, for example, the paint may vary greatly in the dry etching an underlying layer and not so be soluble or the chemicals used to react with other layers. repeating this process sequence for producing the patterned photoresist layer and pattern transfer on varied layers is a key technology in the manufacture of integrated circuits ( colloquially " microchips "). The development of photolithography and their replacement by newer methods are decisive for how long the development of microprocessor technology is still the basis for planning " Moore's Law " can follow.

Improvements

To improve the adhesion of the photoresist layer, the wafer often before application of the photoresist with an adhesion promoter such as hexamethyldisilazane (HMDS ) is treated. Furthermore, coming auxiliary layers to reduce reflections and standing waves ( anti-reflective layer ), improving the planarity of the surface (better control of film thickness homogeneity ) or for the protection of the resist in immersion lithography used.

Especially in industrial production during the process, the back and the wafer edge (front and up to 2 mm) sprayed with solvent. This is to prevent an accumulation of paint on the back and the formation on the edge of a Lackwulst. The background is that paint residue or other particles in the exposure between the wafer and pad (English chuck ) are leading to a local increase of the wafer surface or bending of the wafer. These small differences in height are outside the tolerance limits for the focus and lead to errors in the figure. The edge bead removal ( engl. edge bead removal, EBR ), however, is to remove the unwanted Lackwulst at the wafer edge, it is formed by the surface tension of the coating materials in the coating. Lackwülste are characterized by changes in layer thickness in the edge region, which in turn adversely affect the exposure process in this area. To achieve a maximum yield of chips, it is necessary to adapt the layer thickness in this range. This can ( the thinned portions are thrown by centrifugal force away from the wafer ) can be achieved by using the edge bead removal, that is the dilution of the local photoresist. Additionally, the homogeneity and stability of the photoresist layer can be improved at the edge of a broadband edge exposure. It takes place directly after the coating and the "soft bake" of the photoresist.

Image terms

In the description of the various types of photolithographic imaging lithographic image to be distinguished. They describe the development of the image of the photomask to the developed structure in the photoresist. Due to the lack of German literature following, usually English terms are generally used:

Resolution and depth of field

In optical lithography the structure from a photo mask shadow or projection is transferred to a light-sensitive photoresist. The resolving power, ie the ability of the optical system to image the smallest structures in the photoresist is, essentially on the light wavelength and the ability of the system used to capture enough diffraction orders of the mask is determined. It can be approximately described by:

Here is the critical dimension, ie the smallest mappable line width ( often with the English terms minimum feature size or critical dimension called ), a dependent on the imaging and paint system factor that is approximately a factor of 0.4 in production, the wavelength of light used and the numerical aperture of the last lens element from the wafer.

Based on this equation, the resolution can be improved by the reduction of the wavelength used and the k1 factor. Here numerous improvements in the photolithographic processes ( paint chemistry, etc. ) have been introduced in recent years, by which the k1 factor was reduced from approximately 0.8 to 0.38 at today's cutting-edge products ( theoretical minimum is 0, 25). The wavelength used (exact vacuum wavelength ) was swept gradually reduced over the years to improve the resolution. So you first used the mid-1970s, the g-line (434 nm), and since the early 1980s, the i-line ( 365 nm) of the emission spectrum of a mercury vapor lamp. In the 1990s, the transition was followed by monochromatic light of excimer lasers, here is first used KrF excimer laser ( 248 nm, also Engl. Deep ultraviolet DUV called ) and later (to date) ArF excimer laser ( 193 nm). Further to improve the resolution using the increase of the numerical aperture is possible. Again, there were numerous improvements and optimizations. Thus, the NA was a maximum of 0.45 (theoretical Maximium for a non- immersion system is 1 ) for top products with g - line exposure. At present, used in the industry, systems based on ArF excimer lasers this value was increased to about 0.93. With these improvements, structures below 40 nm can now (2011) are resolved - further reductions are through the use of immersion liquids (see immersion lithography ) and even shorter wavelengths possible.

Another important parameter in optical imaging is the depth of field ( engl. depth of focus, DOF) of the imaging system.

With another process-specific parameters ( also called Rayleigh coefficient ). For the best possible picture in a paint finite thickness a high depth of field is desirable. In this way, a uniform picture can over the entire layer thickness achieved and also typically occurring irregularities are leveled in the wafer topography. As seen in the previous equation, the depth of field decreases with increasing numerical aperture. For this reason, the NA must be coordinated with the rest of the process and the desired requirements in practice.

Furthermore, nowadays for the exposure of critical levels, ie the production of the smallest structures in ICs, such as the gate contact or the first metallization, various techniques used to improve the resolution and image fidelity. These are, above all, the optical proximity correction (OPC ), phase masks ( engl. phase -shifting mask, PSM ), slash exposure (English off-axis illumination, OAI) and reflection- less techniques (such as anti-reflection coatings ) as well as multiple patterning methods such as the double exposure and the double patterning process. Using these techniques are also much smaller structure sizes produce below the diffraction limit, such as 65 nm with 193-nm ArF excimer laser, and 28 nm when an additional immersion medium is employed. For cost reasons, not just a specific technique is used in production but used, but there are, depending on the requirements of the resolution of systems of different wavelengths used. Thus, the requirements for the resolution at higher metallization are by far not as high as in structuring the gates are needed ( a MOSFET ).

Defects

Defects are one of the main criteria for assessing the imaging quality of a photolithographic process. The main cause of defects are particles from the environment or previous processes and Schichtdickeninhomogenitäten of the photoresist. Also mechanical damage to the mask or wafer are potential defects that may occur, especially with contact exposure. In addition to the detection of defects on the wafer, especially the detection and removal of defects on the photomask are important. Because in an exposure field of a photomask is the layout of approximately 2 to 6 chips (exposure in the step-and -repeat process). A defect on the photomask would therefore equal often reflect on the wafer, thus reducing the yield drastically.

Particles from the environment are mostly naturally occurring dust particles in the air. You can settle for instance on the photomask or the top of the applied photoresist layer and cause additional masking. Similar to local defects in the photomask (eg chromium residues or too much chrome removed ) even aberrations are the result. For this reason, the exposure and the overall handling of the photomask and wafer takes place in a clean room environment with substantial reduction in dust concentration. Since, however, can not be entirely prevent such particles in this environment, the mask is regularly inspected for defects and possibly blown off with purified air. In addition, the textured side of the photomask is protected by a so-called pellicle. A pellicle is a transparent protective film that is stretched at a distance of a few millimeters above the exposure field of the photomask. You should prevent particles settle in the fine ditches the mask.

In addition to the particles, which have a masking effect on the particles, or the wafer back side wafer support another cause of image defect. They cause a local shift of the paint layer in the Z- direction, leading to an incorrect focusing. Sources of such particles can inter alia be previous processes, for example, particles that have arisen in a CVD coating and attach to the wafer backside.

Defects in the paint layer can be and arise, for example by problems with the lacquering a global variations in the layer thickness from the target value, on the other hand, local inhomogeneities caused by particles. The global layer thickness differences may be caused for example by incorrect process parameters or changes in the coating properties. They are relatively easy to master and can be largely prevented by regular test coatings. Local differences in layer thickness are formed for example by particles on the wafer, they interfere with the even distribution of paint and generally yield a defect with comet- like appearance. Other causes are dripping paint nozzles or back splashes.

For the detection of defects, both optical and scanning electron microscopy method can be used. For example, the coated and processed wafers visually inspected and fully automatically compared with a reference image. This allows larger defects, especially noticeable local defects in the paint thickness. The use of scanning electron microscopy to belackte and exposed wafer is largely avoided and used only for detailed local investigation or the line width measurement (for monitoring the exposure dose and focus). The main reason is that the electron beam changes the chemical coating, and thus has influence on the structure shown. This can be detrimental to subsequent processes such as dry etching. And the inspection of the photomask is carried out to a large extent with the optical measuring method. This primarily automatic processes have prevailed, in which the local intensity distribution of the transmitted and reflected components of a normally incident laser beam is measured. By comparing with the layout data can thus be defects in the mask manufacturing determine. In production, the comparison is done mostly with data from a reference measurement or with an adjacent same chip at the same photomask.

Exposure techniques

Contact exposure

Here, the photo mask is brought into direct contact with the wafer. The method provides the best resolution of the shadow method, since the resolution loss is reduced by light diffraction on the caused by the paint thickness minimum. The disadvantage is that the mask or the pressure applied to the wafer photoresist can be damaged by contact, such as when a speck of dust is between the two. In addition, defects as well as the desired structures 1:1 transferred to the photoresist ( eg contamination of the mask), which can lead to gross errors such as short circuits on the wafer.

Proximity printing

In this type of exposure, the mask is positioned at a distance (English proximity gap ) of approximately 10-50 microns above the photoresist. This distance helps to protect the resist and mask from damage.

Projection exposure

In the projection exposure, the photomask is not shown in the scale 1:1 in the resist, as is inherently the case with the contact and proximity printing, but the mask dimension by a lens system (typically on a scale of 5:1 or 4:1) ready. A big advantage of this is that particles that have settled to the mask are also reduced and therefore have a lesser impact on the generated structures. Furthermore, the photomask used much easier ( and cheaper) are to be produced, as the feature sizes are larger by a multiple on them. Since the image of a mask can not cover the entire wafer in this way, the wafers are using extremely precise mechanisms ( eg piezo linear drive) moved and positioned so that the images of the mask on a grid are to tight tolerances (so-called Step- and-repeat process, the equipment used for this purpose are called " wafer stepper "). Because of the finite depth of focus of the imaging optics only thin photoresists with this method can be exposed, while with contact and proximity printing lithography also in thick photoresists is possible ( an extreme example is the Dicklack SU -8). To deeper structures (more precisely, structures with high aspect ratios, that is structures which are deeper than they are wide ) starting to be generated by the projection exposure, the (thin ) the photoresist on a thick layer of material is applied, which can be anisotropically etched after development. Anisotropic etching process, for example, the reactive ion etching or ion milling.

Special forms and further developments

Immersion lithography

The immersion lithography essentially corresponds to the projection exposure. However, is in the exposure between the projection lens and the photoresist is not air, but a liquid medium. The immersion liquid can be, for example ultrapure water. In comparison to the higher refractive index of air is increased, the numerical aperture of the imaging system. This makes it possible to create smaller structures.

Initial developments, IBM published in February 2006 Even then they showed in laboratory experiments, a structure map with a line width ( engl. critical dimension, CD) of 29.9 nm This technique was first introduced in mass production in 2007. Here catadioptric lenses were also first used. Currently (January 2010 ) can be achieved with this technique in the production of chips feature sizes of 32 nm ( with 193 nm laser radiation). Through the use of immersion lithography switching to completely new and therefore more expensive lithography concepts such as X-ray or electron beam lithography was previously postponed.

Thereby at least partially further exposure techniques and methods are used, making it possible to be able to image smaller structures in the photoresist. Examples are the double exposure and the double patterning processes in which a wafer is exposed twice. First, a structure with the maximum achievable optical resolution is applied (e.g., with 45 nm line width ), then the position of the wafer by half the resolution changed (22 nm ), and then projecting a second structure. However, the positioning accuracy of the wafer stepper is sufficient for this process from an increase by repeated exposure to the process times. By double patterning feature sizes of 22 nm were achieved in combination with immersion lithography at 193 nm already. A combination of double patterning and the 32- nm immersion lithography could even enable a feature size of 16 nm, which appeared previously reserved for EUV lithography. To achieve this, the optical properties of pure water as the immersion fluid is no longer adequate. So currently come immersion liquids with a higher refractive index of up to 1.8 for use or it is researched alternatives. They are, inter alia, a better adaptation to the lens system enable ( one of the targets, for example, to further increase the numerical aperture ).

Grautonlithografie

The Grautonlithografie was developed with the aim to obtain a desired paint topography after development, thus enabling new applications in the field of microsystems technology, for example for the production of 3D structures. Thus, a new concept of photolithography followed, which is usually around the production of binary structures, ie structures consisting of completely remote and unchanged areas goes. The surface topography is obtained by a lateral variation of the exposure dose by utilizing the monotonically but not linearly extending development rate of the exposure real photoresists. Thick resist layers, that is, thicknesses of about 5 microns that is significantly higher than the penetration depth of the UV radiation of 1-2 microns -1 used, a similar effect also on the control of the exposure time can be achieved. Here, use is made of the paint after the exposure has a substantially lower absorption of the UV radiation used. After development, the photoresist pattern can be transferred, for example, by strongly anisotropic dry etching into the underlying functional layer.

Realize practical way a variable exposure dose on the one about multiple exposures to another via Grautonmasken and pseudo- Grautonmasken. With multiple exposures is gradually shifted depending on the desired structure of either a mask or used multiple binary masks with different shadings. Additionally, each exposure step have different exposure doses in order to achieve better results. Pseudo- normal Grautonmasken are binary masks in which structures are used which are smaller than the resolution limit of the projection lens ( diffractive optics). This leads to a brightness modulation in the image area ( similar effect to gridded images, for example, in newspaper printing ). "Correct " Grautonmasken other hand, have areas with different transmittance ) on. This is usually realized by a layer of a (partially) absorbing material whose thickness is varied in the range of complete absorption and transmission. This can eg be a very fine stepped profile can be realized.

Laser lithography / Maskless direct exposure

Excimer lasers, or similar sources of x-ray lithography step can be replaced by the laser lithography. In this case, ultra-short laser pulses are used with a single photon energy below the absorption threshold of the photosensitive medium. This means that the material to be exposed is transparent to the laser light used. However, in this highly focused laser beam, so multiphoton absorption processes are probably in the focal volume. This allows for a chemical or physical modification in the focal volume, and ultimately the surrounding selective solubility of the exposed portion relative to the. After the bath in a developer as, depending on the used photosensitive material unexposed or exposed areas can be released. Thus, almost any 3D structures from different photosensitive materials (eg, SU- 8, ormocers, polydimethylsiloxane, chalcogenide glasses) can be produced.

History of development

The main driver for the continuous improvement of photolithography and the provision of sufficient good imaging techniques for the development of microelectronics to ever smaller feature sizes were in the past, the use of light of shorter wavelengths, improvements in lithography equipment in the field of lighting and projection lens optics and development of photoresists as well as the development of new imaging techniques for the correction and utilization of diffraction effects.

In the early days of microelectronics in the early 1970s was used in the emission spectrum of a mercury vapor lamp for the photolithographic structuring the strong g-line (434 nm). It enabled along with the then usual contact or proximity printing and typical photoresists based on novolac (with or without diazonaphthoquinone, DNQ, to increase the development of contrast ) the production of structures in the range of 5-6 microns. Then, due to the typical wafer sizes having diameters of 2 and 3 inches, the entire wafer was processed with an exposure step. This method is used because of its simplicity still in the research use.

In the early 1980s the industry rose to the use of the i-line at (365 nm). The i-line lithography was in the mid 1980s the peak method, could be produced with feature sizes around 1 micron. With this switch also first projection exposure systems with reduction factors of 4 and 5 were introduced. This was accompanied by the introduction of so-called steppers, since the mask sizes for a full exposure of 4- inch wafers were not efficient. For steppers, the wafer is exposed in several steps in grid form with always the same mask. Here is an at each step or more dies exposed. The production of feature sizes below one micron was initially still a major challenge for optical lithography and the achievement of this goal is not as safe.

The first lithography systems that allow feature sizes less than one micron, were introduced in the early 1990s. They used sources of radiation with a wavelength of about 240-255 nm, which were initially mercury vapor lamps, and a little later KrF excimer laser ( 248 nm, also Engl. Deep ultraviolet DUV called ). Upgrading to this wavelength and the increased requirements in terms of resolution necessitated the development of new photoresists necessary. These include chemically amplified photoresists (English chemical amplified resist CAR) based on poly (hydroxystyrene ) ( PHS). These photoresists put under irradiation with light of wavelength 248 nm a photo acid generator ( PAG) free, which decomposes example, the positive photoresist photocatalytically and thus reduces the required exposure dose and thus the exposure time. The increase in the sensitivity and the introduction of phase shift masks ( engl. phase shift photomasks, PSM) meant that in 1993, commercially available products with feature sizes in the range of 0.5 microns were produced.

The early 2000s was followed by the date last transition in terms of the wavelength in the industry added ArF excimer laser with a wavelength of 193 nm, therefore also called 193- nm lithography. She is also now the standard used today allows the production of the smallest structures for the 45 nm technology node ( "dry" ) or 28 -nm planar and 22nm FinFET technology using immersion lithography.

Recently completed this development the steady reduction of the used wavelength with the unsuccessful implementation of the 157- nm lithography using F2 excimer lasers (157 nm). One reason for the discontinued transposition was even higher development costs, that is, the search for suitable materials and their manufacturing in the required quality. Thus, for example, calcium fluoride (CaF2 ), the material for the final lens in the optical system, 2003 has not yet been made ​​in the required quality. F2 excimer laser and CaF2 lenses in 2002 were no longer necessary with presentation and the subsequent rapid introduction of immersion lithography at a wavelength of 193 nm. The effective wavelength - the wavelength in the medium between the last lens and the photoresist - is this configuration, even under a dry 157- nm lithography, because when using water as the immersion medium (refractive index 1.436 ) is this case for an ArF excimer laser 193.4 nm / 1.436 = 134.7 nm, since the development effort for a 157- nm immersion lithography ( effective wavelength 118 nm, about 12 % lower ) clearly exceeds the potential benefits, the 193- nm immersion lithography probably the final point is in the development of the " optical lithography.

In addition to this development of the exposure technique (including improvement of the numerical aperture ) number of other techniques have been developed and implemented to improve the process window by reducing the k1 value. In addition to the above improvements, the photoresists are the particular so-called resolution -enhancing techniques ( resolution enhancement techniques, RETs ). These include techniques for optimizing the exposure, such as oblique illumination (English off-axis illumination, OAI), exposure to polarized light and source mask optimization ( engl. source- mask optimization, SMO), and improvements to the photomask, such as those mentioned phase masks (PSM ), optical proximity correction (OPC, dt optical proximity correction ) and other auxiliary structures (English subresolution assist features, SRAFs ) to help offset mainly diffraction effects.

Despite all the improvements, the industry continues to face the fact that the current techniques in the production of top-quality products are reaching their practical limits soon. This is not yet available due to multiple structuring for 193 - nm immersion lithography probably at the 22nm planar technology, latest products in 11 -nm and 10 - nm technology will need new lithography method. Since this time, repeatedly over the years added structure sizes, and shifts the basic problem thus has long been known to be searched since the 1990s, alternative lithography methods, which can be the successor of the optical photolithography. These methods are often summarized (German lithograph of the next generation ) under the name next-generation lithography. The methods may be roughly classified into three groups:

343900
de