X-ray lithography

The X-ray lithography ( X -ray lithography English, XRL ) is a structuring process of the semiconductor and microsystems technology. The method uses X-ray to transfer a geometric pattern from a mask to a resist film, which is, located on a substrate, usually a wafer. This pattern may then be transmitted through other processes in the underlying material or used for the selective deposition of material, cf photolithography.

The X-ray lithography is one of the so-called next-generation lithography method ( NGL ) method, that is, it is a candidate for the succession of the current standard photolithography based on ultraviolet radiation and is in the semiconductor industry, the production of microelectronic circuits with feature sizes below 20 nm allow. It is closely related to the EUV lithography, which also belongs to the NGL process.

Background

For the manufacture of microelectronic circuits and microsystems structure patterns of a photomask in a photopattemable, that is light-sensitive, thin layer of photoresist ( resist) transferred onto the wafer where it is used for follow-up processes. Since the initial phase of microelectronics, this structuring is done using the ( optical ) photolithography. The trend in microelectronics added higher integration densities and thus smaller components made ​​a steady development of this patterning technique necessary. One of the most important parameters for the resolution of a photolithography system is the wavelength of the light used, since diffraction effects of light complicate a sharp image in the resist when the desired feature sizes in the range of the wavelength of the light used and less. In order to produce smaller structures, so light was always shorter wavelength used, so that one arrived today from the initially used visible light at wavelengths in the ultraviolet spectral range in addition to other technical improvements.

With the development of immersion lithography using argon fluoride excimer laser (wavelength: 193 nm ) and other details such as oblique illumination or multiple structuring the expected limit of lithography could be postponed several times to ever smaller structures and is now (2012 ) in an area ( 22 - nm technique) that had been kept for a technically and physically impossible years ago. Meanwhile, however, all possibilities are also industrially applicable and useful practically exhausted and it is searched for nearly two decades by successive trials for the " optical lithography ". These are summarized under the term next-generation lithography method. These include EUV, electron beam, ion beam and X-ray lithography treated here.

The X-ray lithography uses as well as the conventional photolithography electromagnetic radiation to transfer the structural pattern of the mask into the resist. It could, therefore, similar to the EUV lithography, be regarded as a development of optical lithography with a shorter wavelength. The wavelength of the soft X- rays used is about 200 times shorter than current " optical " systems with about 1 nm. Since the material behavior, that is, the interaction of X-rays with matter, in X-rays are markedly different from that in the optical and near- ultraviolet region. For this reason, not only other radiation sources and modified photosensitive coatings are in X-ray lithography needed but it requires fundamentally different imaging principles, a different mask technology and other developments where the knowledge of the optical lithography can be used hardly.

Was first shown such a system in the early 1970s.

Principle of operation

The basic principle of the X-ray lithography corresponds to the conventional photolithography on the basis of visible light or ultraviolet light, that is, a resist mask having a pattern of transparent and opaque regions is illuminated with X-radiation and projects the pattern on a thin layer of a resist. The illuminated areas in the resist are chemically altered and can be solved in the case of a positive photoresist in a subsequent development step. A resist mask is left on the substrate that can be used as a masking layer for subsequent processes.

Unlike the optical lithography for the X-ray lithography, the chemical reactions will not be directly triggered by the incident photon, but rather generated by electrons, which interact with the resist material. Applies X-rays to a material by total absorption of the photon generated by an electron to an inner atomic orbital photoelectrons with a certain kinetic, the photoelectric effect - other mechanisms such as Compton or Thomson scattering effect can be neglected. Then, the excited atom relaxes, that is, the resulting unoccupied orbital position is occupied by an electron from a higher orbit. It also creates an Auger electron or photon fluorescence. The resulting photographic and Auger electrons interact with electron-sensitive substances (e.g., a photo-acid generator PAG) and thus lead via further intermediate steps to a change in the chemical properties ( usually the solubility) of the resist in the exposed areas.

Radiation sources and " optical system "

Similarly as in the conventional photolithography electromagnetic radiation is also needed in the x-ray lithography and intense narrow ( monochromatic ). The wavelength of soft X-rays is in the range from 10 to 0.1 nm, however, more practical importance, the range is from 2 to 0.2 nm, established by the interaction behavior of the materials having the x-ray radiation. Diffraction effects that limit the resolution in conventional photolithography, can be neglected in this area. However, the so-called deep X -ray lithography ( DXRL ) uses shorter wavelength of the order of 0.1 nm and modified procedures, such as the LIGA process to form deep or even three-dimensional structures. Theory in question, therefore, come the following radiation sources: high power X-ray tubes, plasma X-ray sources and synchrotron radiation sources.

As one of the most interesting radiation sources, synchrotrons have been found, among other things because the efficiency and the achievable intensities of the other radiation sources for commercial use are not sufficient and provide this no parallel beam. Synchrotron radiation is characterized among other things by a high intensity and a high brilliance of the emitted radiation. They thus allow a relatively fast exposure without extremely sensitive resists to use. The use of elaborate synchrotrons for the semiconductor manufacturing is currently one extremely big challenge for the introduction of this technology constitutes a technical possibility of compact storage rings, such as COSY (Compact Synchrotron or Cooler Synchrotron ), the space requirements for connection of 8 wafer steppers in about the of today's ArF immersion photolithography equipment is.

The refractive index of almost all materials is in the X-ray region at around 1 and thus corresponds approximately to the refractive index in vacuum and in air. Lens and mirror systems, such as those used in conventional photolithography, were indeed already shown, but they are very expensive to manufacture and therefore for X-ray lithography virtually unusable. This means that direct write ( such as in electron beam lithography ) and projection techniques ( as in the conventional photolithography ) are not realized. For the implementation of X-ray lithography is therefore necessary to resort to a proximity or contact technique, this sets in feature sizes of a few nanometers extreme demands on the 1:1 shadow masks. As early as 1990 was the production of a free-standing structure with a line width of about 30 nm for different exposure wavelengths are shown.

In addition to the "normal" Proximitytechnik also demonstration experiments based on the Fresnel diffraction ( near-field approximation) were shown in so-called "sweet spot" in recent years, see section resolution.

Mask technique

Mask for X-ray lithography is made of a higher atomic number X-ray absorber typically gold or compounds of tantalum or tungsten on a membrane of a low atomic number material which is permeable to X-rays, for example silicon nitride, silicon carbide or diamond. The pattern on the mask can be written by a direct write electron beam lithography on a resist, which has been developed for a conventional semiconductor process. Since an exposure in the step-and -repeat process economically best suited and is technically easier to implement, the size of the masks, for example, 5 cm × usually only a few square centimeters, 5 cm. A disadvantage of this technique is that the wafer throughput per hour calculation is lower than that of a full exposure ( which are naturally implemented initially for currently standard wafer sizes of 300 mm diameter and achieve similar defect rates should )

Paint Systems

By default, the lithography process used in semiconductor technology are organic layers used as photoresist. The energy of X-rays is much higher than the binding energy of chemical bonds of these compounds and interact only to a small extent directly with these ( weak absorption ). Therefore, they can not be used directly for the (economic ) exposure. However, their energy is large enough to trigger from the resist atoms photo-and Auger electrons. Therefore, in principle, each electron sensitive resist can also be used for X-ray lithography. These include classical photoresists such as SU- 8, which are both light - and electron - sensitive, or polymethylmethacrylate ( PMMA). Both examples belong to a group of rather less sensitive resists with an X-ray sensitivity from 500 to 1000 mJ/cm2 and allow exposure times of a few seconds per " exposure shot ." Another, more sensitive resists, poly ( butene-1 - sulfone ) (PBS ), poly ( glycidyl methacrylate - coethyl acrylate ) ( COP), fluorinated poly ( FBM) or methyl α - fluoroacrylate (MFA) with an X-ray sensitivity of less than 100 mJ/cm2. But they are often less resistant to the subsequent processes such as reactive ion etching. With the possible use of multi- layer resists, but can be also solved this problem. Such resist systems are commonly used in the conventional photolithography, being here often used to reduce reflections, which in X-ray lithography is not a problem.

Photo-, Auger and secondary electrons

As mentioned previously, the photoelectrons are complete absorption of the x-ray photon by an electron with a particular kinetic energy is generated ( photo- effect). The kinetic energy is obtained from the difference between the energy of the incident photon ( here in the range from 123.98 to 12,398 eV) and the binding energy of the electron in the atom (in the case of the 1s orbital of carbon about 283 eV). The depending on the wavelength employed, very free -energy photoelectron encounter in the resist again together with other atoms from which they (bound weaker) can trigger electrons releasing energy again, which we refer to as secondary electrons in this case. This collision cascade is repeated until the energy of the electron is no longer sufficient to trigger another electron.

Triggered by X-ray photoelectron produce in an inner orbital of the atom a space that is filled by an electron from a higher shell. The released energy is delivered either as fluorescent X-rays or non-radiatively by the release of an additional electron, an Auger electron. Auger electron effect in the resist as photoelectrons and how these can produce by collisions with other atoms, secondary electrons.

The relative ranges of photo-and Auger electrons and their secondary electrons depends on their energy. This energy is, in turn, depends on the energy of the incident radiation, and the composition of the resist. What is important in X-ray lithography, the effective range of the secondary electrons that have sufficient energy to produce chemical bonds in negative or positive photoresists or break.

Charging

High-energy radiation such as X-rays, above the ionization potential generates free electrons which are not negligible in comparison with the electrons generated by electron beams. Charging a sample by ionization is a rather infrequent possibility that occurs when it is not ensured that electrons generated by ionization leave the surface, or remain in the sample. The energy transfer to the electrons by the ionizing radiation results in a separation of positive and negative charges recombine rapidly due to the long range of the Coulomb force. In non-conductive layers as the gate dielectric and resist layers was observed to be charged positively or negatively under electron irradiation. Such layers are finally locally by space charge ( electrons, the layer added to the surface or they leave ) at the resist - vacuum interface, and Fowler -Nordheim injection of the substrate, is neutralized.

The range of electrons in the layer can be affected by the local electric field. The situation is complicated by the presence of electron holes ( positively charged electron vacancies ) which are generated along with the secondary electrons and which can be expected to follow the movements of complicated. With the progress of the neutralization process, each initially present charge concentration begins to spread in the material. The final state of the chemical resist layer is reached after the completion of the neutralization after all electrons have finally slowed. Can normally be controlled by a flow gun, the resist layer thickness or, with the exception of X ladungsableitenden stepper charging.

Resolution

Unlike the electron beam lithography, the X-rays does not immediately lead to a negative charge of the substrate, through which a jet is caused to spread in the material and in turn limits the resolution. Also occurs in X-ray lithography on no backscattering of incident electrons in the resist and the pattern image in the resist is determined mainly by exposure contrast of the incident X-ray radiation and the scattering of secondary electrons in the resist.

The exposure contrast is described in the literature with the Fresnel diffraction of electromagnetic waves. Its consequent diffraction limit depends on the wavelength of used X-rays and the distance of the mask from the resist layer substantially. In this case, the resolution improves as the smaller wavelength and the distance. For a practically usable distance of 10 microns and a wavelength of 1 nm, for example, yields a theoretical resolution limit of 100 nm

In addition to the Fresnel diffraction, especially the spread of the secondary electrons in the resist limits the real resolution. From the mean free path and the effective range of the electrons results in an uncertainty in the resist. It is usually a Gaussian function (where σ corresponds to the unsharp ) model, which is folded with the expected image. With the approximation of the desired resolution of the image blur, the dose (the distribution of the energy absorbed in the resist) is wider than the aerial image (intensity of the electric field after passing through the mask - wafer gap ) of the incident X-rays. Ultimately decisive blur is the latent image ( distribution of the active chemical compounds in the resist), which describes the production and during the breaking of chemical bonds, the resist exposure. The developed image is the final resist profile generated by the selective contrast the development process. Referring to various publications, the range of the primary, Auger and secondary electrons can be very large (tens of nanometers) or small (a few nanometers). Since this range is not a fixed value, it is difficult to quantify the range. However, it can be estimated by Green - dose depth for electrons. The so-called Green - length RG calculated approximately:

For a wavelength of 1 nm corresponds to approximately 56 nm RG

Similar to optical lithography techniques are explored also for X-ray lithography, with which one can fall below this resolution limit. One example is the use of a systematic edge offset ( " bias ") of the resist patterns to the structures on the mask. For example, the edge of an open area on the mask (clear) are a few nanometers in the direction of the open area in the Ressist and thus the structure in the resist on the mask to be smaller than. This offset can be up to 55 nm ( if necessary continue ), as an example has been published in which a 152 nm line structure is open (clear) on the mask in the resist only 43 to 46 nm was wide, representing a reduction of about 3.5 corresponds. In this way, the structures on the mask can be greater, which can reduce the mask costs. Since the distance measure (English pitch) does not change when a line trench structure, this means that the inverse structure is correspondingly increased. A dense line trench structure with equally large structures, each of about 45 nm is not possible with a single exposure. Such dense structures would have to be created by multiple exposures with a parallel shift, as well as today will in a few areas already in the conventional photolithography application. Using this technique, dense structures with a Abstandzmaß of 25 nm possibly even 15 nm are generated.

Pros and Cons

In terms of resolving power supply some maskless NGL methods such as maskless electron beam and ion beam lithography better results than the X-ray lithography. But these methods have so far been very time consuming and not economical because of their low throughput in the industrial field for the production of microelectronic circuits. Here, the X-ray lithography, which is like the conventional photolithography, a non-contact projection method, key advantages. Furthermore, it allows high beam parallelism a great theoretical depth of focus and thus the use of thicker resist layers ( inter alia, important for long etching processes ), as is used in microsystem technology in the LIGA process as so-called X-ray lithography. Since a part of the X-ray radiation, however, the coating layer, and even the entire wafer passes through, in turn, problems arise in the use of multiple layer coating techniques.

692478
de