Immersion lithography

Immersion lithography is a technique in the production process of microelectronics provides sharper image in the photolithographic patterning. The technique utilizes the same principle as the immersion microscopy, but it is not looking at an object, but the reduced projection of an existing structure on a photomask to a photoresist layer, cf Photolithography ( semiconductor technology). It is to an improvement in the projection exposure, in which the air is replaced by a so-called immersion liquid with a high refractive index as possible the gap between the last lens and the wafer surface. This allows compared to similar design systems without immersion medium, the production of smaller structures, since a larger numerical aperture ( NA) and focal depth ( engl. depth of focus, DOF) is achieved.

The introduction of immersion lithography made ​​it possible existing lithography systems (combination of lens system, photo masks, photoresists, etc.) on the basis of ArF excimer lasers - also known as 193-nm lithography, as the ArF excimer laser emits light with a wavelength of 193 nm - to continue to use and still produce smaller structures. This allowed the introduction of costly and not yet USEFULLY for industrial mass production alternatives, such as EUV or electron beam lithography, will initially continue moved. In the evolution of lithography systems according to current knowledge, it is the last, which is based on light refraction. Currently (as of 2012) is the immersion lithography is the only technique that allows to manufacture integrated circuits with feature sizes of 28 nm or less in the industrial mass production and thus represents a key technology for the production of today's top products of microelectronics as main computer processors, system on-a- chip from smartphones etc. dar.

History

The improvement in the resolution of optical systems by the use of immersion liquids has been known for a long time in the field of microscopy, see Immersion ( microscopy). The air in comparison to larger refractive index (n> nair ≈ 1 ) causes an increase in the numerical aperture of optical systems and thus greater imaging depth, as well as an improvement in the resolving power. The use of immersion techniques in photolithography was first considered in the late 1980s and shown on an immersion microscope, demonstrated in the late 1990s for modern coating systems and pursuing its technical implementation of stronger since the early 2000s. The starting point was the recognition that the conventional " dry" lithography systems, ie conventional systems without immersion medium, in the foreseeable future will no longer be able to provide the required resolutions. In this context, the immersion lithography was a likely candidate to continue to continue the scaling of microelectronic circuits.

First demonstration experiments with the immersion lithography were for HeCd, ND (2003/2004) in the following years: YAG and ArF laser systems presented ( corresponding to wavelengths 442 nm, 213 nm and 193 nm). (Also called 193i lithography ) At that time, "dry" ArF scanner with 193 nm wavelength ( 193-nm lithography ) have been used in industrial production and the development of immersion ArF scanners progressed quickly. This was due, among other things, the relatively low technical adjustments that were necessary to rebuild existing "dry" systems in immersion systems. Although this required a Teilneukonstruktion such plants, but the cost was significantly lower than the introduction of fluorine excimer laser (157 nm wavelength ), or alternative techniques such as EUV, X-ray or electron beam lithography, because such resists and laser optics have been used not can be used. Thus, the first suitable for mass production 193i scanner ( ASML AT1150i, NA = 0.75) was delivered to the Albany NanoTech in 2004. The use of immersion scanners, already with the maximum practically achievable for water NA of 1.3 to 1.35, began with the introduction of the 55nm technology node by several manufacturers of flash memories. The cost of industrial immersion lithography systems are strongly dependent on the manufacturer, they amounted to 2010, approximately 30 million USD for Nikon NSR- S620D plants and $ 55 million for ASML's Twin Scan NXT: 1950i systems.

Background and Operation

In order to understand the functioning of an immersion lithography system, a few basics for determining the resolving power of an optical system are first necessary. The minimum achievable resolution of an optical system can be described by the following equation:

The Auflösungskoeffizent ( a process constant), the vacuum - wavelength of the laser light used for the exposure, the refractive index of the incident medium and the opening angle of the lens. The product is referred to as numerical aperture ( NA) of the imaging lens.

The coefficient depends on the used exposure process and is determined by the exposure conditions, the masking technique and the properties of the photoresist. This value could be improved by numerous improvements ( paint chemistry, etc.) decreased in recent decades from about 0.8 to 0.38, and thus the resolution of the photo lithography systems. In addition, in the past the minimum resolution by reducing the exposure wavelength (h from the emission lines and i a mercury vapor lamp for KrF excimer laser to ArF excimer lasers, ie from 434 nm, 365 nm, 248 nm to 193 nm), the improve the photoresist properties or the use of new imaging techniques, such as oblique illumination achieved. This trend could not be continued after the introduction of the ArF excimer laser, since a further reduction of the wavelength ( F2 excimer laser, 157 nm) abuts new limits. Main obstacle is that many of the previously used materials (lenses, photoresists, photomask, pellicle, etc.) are not sufficiently transparent in the spectral region. The development of a 157 -nm photolithography therefore calls for the search and introduction of new materials with sufficiently good properties. However, these search and the relatively extensive changes to the existing system concepts are complex and therefore costly. For this reason, alternative methods, such as electron beam lithography, and other " technical tricks " sought which a better resolution on an industrial scale can be achieved.

One of these " technical tricks " is to change the numerical aperture of the system - another example, the multi- structuring - because the ability of an optical system to image structures is indirectly proportional to the numerical aperture of the imaging equipment (see formula above). The NA is in "dry" photolithography systems maximum 1 - theoretical limit by the refractive index of air, real peak values ​​are around 0.95. Since it is impossible to increase resolution by increasing the aperture ( on the lens ) further, an increase in the NA only through the exchange of the coupling medium, the air ( nair ≈ 1 ), between the last lens (currently mostly of quartz ) and the photoresist-coated wafer can be achieved by an immersion medium having a higher refractive index. This change has a very effective effect on the resolution because the wavelength of the laser ( 193 nm in vacuum) in water at ca 134 nm.

A further important parameter in photolithography is the imaging depth ( engl. depth of focus, DOF). It identifies the area (in the direction of the optical axis of the illumination system ), in which the focus of a sharp image is sufficient. Since successful exposure over the entire thickness of the photoresist layer is to be carried out, the depth of focus, therefore, must be large enough for the resist film thickness and irregularities in the wafer surface is used. Otherwise it comes to imaging problems, such as that above or the developed structures are significantly smaller below the image plane and thus a kind of "overhang " or an incorrect mask that would result.

Similar to the resolution of the imaging depth is also dependent on the wavelength used, the numerical aperture used and the medium between the lens and the photoresist. For a projection system, the imaging depth can generally be calculated by ( for more information about the calculation for systems with normal or high numerical aperture is referred to the literature ):

For small angles, this may be simplified to:

It can be seen that at the same wavelength and the same medium, the imaging depth decreases with increasing numerical aperture and was in previous adaptations of lithography systems on the wavelength used a necessary compromise. Physically, this effect can be explained simplified so that with increasing NA the components of the spatial frequency quickly come in the z- direction from the phase and thus smear, as one moves away from the focal plane.

In summary it can be said that the use of an immersion medium significantly improves the imaging characteristics of an immersion system in comparison to a similar dry system. So (refractive index of 1.47 at a wavelength of 193 nm) as the immersion medium, an improvement of the resolution by about 30-40 % can already be achieved (depending on materials used ) with ultrapure water. In contrast, a switch from ArF to F2 Ecimerlaser would allow only about 19%. The introduction of these alternative techniques would require fully developed coatings and optical systems, so that the introduction of immersion lithography requires a relatively small outlay. In addition to the resolution, the imaging depth or tolerance improved in the flatness of the wafer topography approximately a factor of 2 (for systems with the same NA). Nevertheless, the optical imaging of structures on a photomask to a photoresist layer is always a compromise between resolution and depth of focus, so that both benefits of immersion lithography can never be exploited simultaneously.

Technical structure

The technical development of modern immersion lithography equipment corresponds substantially to the dry photolithography systems based on ArF excimer laser (193 nm). These include the laser source, a condenser lens, a mask holder, the focusing optics and the sample stage on which the wafer is placed. The main difference between dry lithography systems is that in immersion lithography an immersion liquid between the wafer and the last lens of the lens system must be maintained. For the technical implementation of this task, there are two basic approaches: the wetting of the entire wafer (English stage immersion, so dipping the wafer into the liquid, or wafer immersion ) or the local wetting with the immersion liquid ( engl. local delivery ).

Today's systems use only the local wetting, as they can work much faster due to shorter wetting and removal times. For this purpose a water reservoir in the area of the lens is usually constructed. The corresponding adapter ( in English often than showerhead, dt, shower head ', hereinafter), hovering only a few microns over the wafer holds this reservoir stable during exposure and allows both rapid wetting and rapid removal of the liquid. Thus, the fluid can not flow laterally or remains with the wafer movement on the wafer, a fine air curtain (german air curtain ) is built on the edge of the adapter.

To the stability of the liquid reservoir continues the scanning speed with which a wafer is moved relative to the lens system, and the dynamic contact angle (loading and Entnetzungswinkel, also known as advancing and receding contact angle ) is important. They have effects on the potential loss of fluid by withdrawing the fluid film, or instabilities in the wetting. If losses occur through a drawn away drops are losses by a thin liquid film behind the immersion adapter. They are frequently observed at low speeds and with very low Entnetzungswinkel systems, that is, in the case of water with hydrophilic surfaces. In the other case the liquid losses occur when the surface tension is not sufficient to overcome the forces of inertia (due to the receding meniscus ). These errors occur especially at high speeds and higher wetting angles in the case of water at hydrophobic surfaces. For typical scan speeds of 500 mm / s - for up to 150 wafers per hour and investment - should the Entnetzungswinkel in the range 70 ° and higher. Typical "dry" 193-nm photoresists have Entnetzungswinkel in the range of 43 to 53 °. For these and other reasons, such as protection of the photoresist layer from chemical attack (see below), either other photoresists or more hydrophobic protective layers are used on top of the photoresist.

Immersion medium

High-purity water ( nwater, 193 nm ≈ 1.47 ) is the immersion liquid mainly used since the beginning of the research. It is also the first and only immersion medium that is used in industrial production. In addition to water ( first generation ) are currently also other liquids with a higher refractive index ( 1.65 to 1.8 ) in the study. These immersion liquids of the second generation are homogeneous organic liquids such as perfluoropolyether ( PFPE) or cycloalkanes. A refractive index in the range of 1.65, equivalent to a further increase in the resolving power to water of about 14%. Similar to the lens materials have a low temperature dependence of the refractive index ( also referred to as thermo-optic coefficient dn / dT ), and a low absorption coefficient ( α < 0.15 cm -1) in the ultraviolet region is also important in the immersion liquid. Otherwise, there will be differences in intensity between the beam proportions depending on the distance covered in the immersion medium and major changes in focus during extended operation due to temperature and the associated refractive index changes. In addition, absorptions by the immersion medium and radiation damage (decomposition, chemical reactions with the photoresist through to blistering) cause it. These effects have a negative effect on the overall process and can be corrected only within certain limits. Other important characteristics are thus also a high thermal capacity and good thermal conductivity. However, many organic liquids have unbound by electron or electrons in π - orbitals high molecular absorption coefficient at a wavelength of 193 and 157 nm. Therefore, the search for the right materials is difficult. It should be noted at the immersion liquids of the second generation, that their refractive index in the range of refractive index today photoresists ( 1.75 ) may be. Their use therefore requires the development of new photoresists with a refractive index of up to 1.9.

To achieve even higher refractive indices and (2.14 LuAG, refractive index at 193 nm) breaking it down on potential materials for high-index lenses, such as lutetium aluminum garnet, other solutions must be found, because there are not homogeneous liquids with refractive indices greater than 1, 8 known. Therefore, currently we explored the third generation of immersion liquids in which they are suspensions of nanoparticles (eg, from 5-nm spherical particles of LuAG or zirconium ( IV) oxide ) of high refractive index materials in organic liquids. The total profit in increasing the numerical aperture by high-index immersion liquids (2nd and 3rd generation) is relatively low and the research was already in 2008 dropped back behind the actual schedule of the industry. Your industrial use is therefore uncertain.

Problems in the use of mass production

Generally in semiconductor technology, a new technique is only used in manufacturing, when the defect density reaches a level that is comparable to similar techniques in the case of the " dry" lithography. Therefore counted defects and other possible sources for a reduced yield (english yield ) of microchips on a wafer as the biggest obstacles for the use of immersion lithography in mass production. Early studies focused on the elimination of bubbles, temperature and pressure variations in the immersion liquid and the absorption of the liquid by the photoresist. Intrinsic defects of immersion lithography and particle generation by the water output unit ( a common source of defects ) were identified.

Furthermore, it has been shown that water both parts of the photo initiator - a photoactive substance that forms a photoacid during exposure (English photoacid generator, PAGs ) - as well as the photo acid itself - usually a carboxylic acid, the development rate by 1 to 2 orders of magnitude increases - extracted from the photoresist. This transition of the two substances from the photoresist to the immersion medium water must be prevented, since on the one hand, damage to the objective lens can be avoided by the acid or by impurities must and secondly, the diffusion has a negative impact on the photoresist development. It is also known that the UV light used can ionize at a wavelength of 193 nm of water. In this ionization arise solvated electrons, which propagate in the photoresist, react with this and so adversely affect the resolution performance.

The above concerns about possible defects have led to considerations about the use of a cover layer directly on the photoresist. This cover layer prevents the diffusion of water and PAG between the liquid medium and the photoresist. In addition, the interface between the liquid and the cover layer with regard to the reduction of watermark has been optimized. Of course, when using a top layer a possible defect generation must be eliminated through the outer layer, or at least prevented.

With scanning speeds of modern scanner in the high volume production of about 500 mm / s, the actual photoresist -water contact time in the range of the exposure is minimal. This has the following main problems: on the one hand defects caused by water ( watermarking ) and the other a loss of photoresist -water adhesion ( forming an air gap ). The hydrophobicity of the surface and the type of water supply and loss transfer are the main aspects that must be considered in the technical implementation. Another area in which defects may be intensified, the wafer edge, where the water makes a " U-turn " ( return) and thereby defects can absorb ( particles) from the edge of the wafer and the wafer backside.

Current and future use

ASML ( with optics from Carl Zeiss SMT GmbH ), Nikon and Canon are the only commercial supplier of immersion lithography systems for production. Since 2007, the systems of major semiconductor companies in the manufacturing of products 45 - nm technology and are used under it, such as IBM, GlobalFoundries (the former manufacturing division of AMD), UMC, Toshiba and Texas Instruments. Corresponding products are Athlon II and fusion, for example, AMD. Intel, however, only began in 2009 to use the immersion lithography for its products in 32- nm technology, such as Intel Core i7 ( Westmere ). The production of 22nm products and below will be still using immersion lithography for the critical levels in the Middle-of -line with high probability. However, this is dependent on how quickly alternative methods such as EUV or electron beam lithography to develop in terms of their industrial applicability or whether they are ready at all.

Is currently being investigated, what improvements are necessary so that the technique can be used even after the 32-nm node. These include the use of materials having a higher refractive index than that of the materials currently in use. This applies not only to the last lens ( objective lens ) in which on the use of lutetium aluminum garnet ( LuAG, refractive index of 2.14 at 193 nm) is considered instead of silica, and on the other hand, the immersion liquid (replacement of water) and the photoresist itself, the reason for this is that the lowest refractive index of the three components determines the numerical aperture of the imaging system significantly. This can be achieved with a higher refractive index materials, a higher numerical aperture and thus a better resolution of small structuring. These new developments allow an optical resolution of about 30 nm is expected, however, that below 40 nm current photoresists hinder further scaling. Other problems include polarization effects, temperature-dependent birefringence and dispersion in the optical system.

The use of immersion lithography using an F2 excimer laser ( 157.67 nm) could allow higher tripping without the use of high-index materials. The implementation of the 157- nm lithography, which was still considered mid-2000s as a hopeful candidate for the replacement of the then 193- nm dry systems, but proved far more difficult than expected. The challenges in developing the technology, among other things, the search for new photoresists and lens materials presented. The search for new and suitable for 157 -nm photoresists technology is hindered mainly by the absorption behavior typically inserted organic molecules. To absorb phenolic and carboxylic acid groups are very good UV light having a wavelength of 157 nm so that the light can not penetrate sufficiently deep into the photoresist layer. The same problem exists materials for lenses and immersion liquids at ( high refractive index ). Thus, the wavelength of the 157 -nm ultraviolet radiation in the vicinity of the bandgap typical lens materials. This has among other things a transparent optics comparatively high absorption coefficient ( imaginary part of the complex refractive index ) and thus a greater warming in operating instability, which in turn has a negative effect on the optical system and the accuracy. In high-index immersion liquids will be affected even more. Thus, increasing, for example for the often 's preferred perfluoropolyether ( PFPE) - PFPE are sufficiently chemically inert, non-toxic, non-corrosive and largely clean room environments - the absorption coefficient of 0.1-0.6 cm -1 at 193 nm to 6-19 cm -1 at 157 a few nm PFPE, however, offer a sufficiently low absorption coefficient of less than 1 cm -1 at 157 nm However, in these PFPE increases the Absoptionskoeffizent at higher radiation doses significantly, that is, they are not robust enough against the laser light. Therefore applies so far that so far there are no suitable materials available for industrial use.

On the other hand allow techniques such as the use of a regular layout (german layout regularity ) and double- exposure or double patterning process ( double patterning ) a reduction of the half-pitch resolution by a factor of 2, as it might immersion lithography can also be used on the 32- nm technology expiration date, perhaps. to 16- nm technology node While the double patterning method improves the pitch resolution, it is up to non- lithographic methods that actually achieve a reduction of the feature size. The problem is with such a small feature sizes ( below 32 nm ), however, the use of methods of optical proximity correction (OPC, German about: optical proximity correction '). Because for larger additional structures to improve the image quality, as hammerheads (German, hammer heads '), is simply not enough space on the photomask.

410312
de